An important breakthrough has been made in the domestic 3nm chip etching machine!

News from Zijin Finance May 8 Recently, good news came from the domestic chip industry. According to media reports, China Micro Corporation has successfully developed a 3nm etching machine, and completed the prototype design, manufacturing, testing, and preliminary process development and evaluation, and has entered the mass production stage.

Previously, China Micro's plasma etching equipment has been used in advanced integrated circuit manufacturing production lines and advanced packaging production lines for international first-line customers. The birth of the 3nm etching machine enables Chinese chip companies to participate in the more advanced high-end chip manufacturing industry chain in the future.

As we all know, the semiconductor process flow mainly includes wafer manufacturing, design, manufacturing and packaging, and testing. Each link not only requires high-end technology but also a large number of software and hardware equipment. Monocrystalline silicon wafer manufacturing requires equipment such as a single crystal furnace, and IC manufacturing requires six types of equipment, including photolithography, etching, thin-film equipment, diffusion ion implantation equipment, wet equipment, and process inspection. Among them, lithography machines, etching machines, and thin-film equipment are the most important.

The working principle of the lithography machine is similar to that of developing photos, that is, the circuit diagram is copied to the silicon wafer through the development technology. The working principle of the etching machine is to carry out micro-engraving on the silicon wafer according to the circuit structure engraved by the lithography machine and to carve grooves or contact holes. The etching machine uses the developed photoresist pattern as a mask to etch away a certain depth of thin-film material on the substrate, and then obtain the same integrated circuit pattern as the photoresist pattern.

ASML's EUV lithography machine engineer once said that the lithography machine is the crystallization of human wisdom, using light as a brush to copy integrated circuit diagrams onto silicon wafers. The etching machine is like a carving knife in the hands of a craftsman. It is necessary to construct dozens of floors of "keel" on the size of one tens of millions of hair, which directly determines the process of the chip.

In the process of engraving, tens of billions of transistors and integrated circuits in high-end chips, at least thousands of process steps are required. However, such a high-end and complex etching machine was finally broken by Zhongwei Semiconductor, and American companies that have always believed that the technology is leading are greatly dissatisfied.

In recent years, American semiconductor equipment companies American Applied Materials, Panlin R&D, and Veken have initiated trade secret and patent infringement lawsuits against China Micro in order to curb the development of China Micro, intending to curb the development of China Micro. Fortunately, China Microelectronics has made sufficient preparations early. They have applied for more than 1,200 related patents at home and abroad, most of which are invention patents, which effectively protect the intellectual property rights formed by its independent innovation.

The head of China Microelectronics Yin Zhiyao once stated that China Microelectronics is the most sued semiconductor company in the United States, and there are mainly four major lawsuits. The four lawsuits included patent litigation, trade secrets, and other aspects, but without exception, China and Microelectronics all won or reached a settlement.

It is reported that Veken in the US has been defeated by Zhongwei in the etching machine market. In order to curb the rapid development of Zhongwei, Veken initiated a patent infringement lawsuit against Zhongwei's graphite disk supplier SGL in the Federal Court of New York and requested it. Huge compensation. But the fact is that SGL did not infringe Veken's patents. Instead, the latter misappropriated China Micro's wafer carrier synchronization lock-related patents.

In order to protect its legitimate rights and interests, China Microelectronics directly launched a counterattack and submitted to Shanghai Customs the seizure of Veken infringing goods. This batch of goods was worth more than 30 million, and it was directly inflicted on Veken. We understand that the two parties finally reached a patent cross-licensing agreement.

Today, China Microelectronics has a global leader in the field of etching machines, but in the entire chip field, we have not yet achieved national production coverage of chip technology. Restricted by foreign equipment, there is still a gap between my country and Europe and the United States on high-end chips, and the technology level of logic devices is about three generations behind, that is, a gap of 5 to 10 years.

From the current stage, the technological gap between domestic manufacturers and the global leader is gradually shortening, and domestic substitution is ushering in a period of opportunity. The news of China Micro Corporation's mastery of 3nm etching technology also brings confidence to the development of the semiconductor industry. Yin Zhiyao once said that Chinese people pay attention to mathematics, physics and chemistry, engineering technology, and have patience. They are most suitable for integrated circuits. "As long as we have a certain amount of patience, we will definitely become an advanced country in the world's chip field in the future."